半导体制造设备供应链的秋月春风

  半导体制造设备是整个产业的基石,贯穿设计、硅片制造、晶圆制造及封装测试等环节,尤其晶圆制造环节所用设备分量最大。当前,在晶圆制造中刻蚀机、光刻机和薄膜沉积设备为三大主设备,另有氧化扩散热处理设备、清理洗涤设施、离子注入机、研磨抛光机和工艺检测等重要设备。在硅片生产中涉及到单晶炉、滚磨机、切片机、倒角机、研磨设备、抛光设备、清理洗涤设施、检测设备等。在封装测试中涉及划片、装片、键合、塑封、电镀、切筋成型、探针台、分选机、测试机等设备。在设计环节也涉及部分测试设备。典型的集成电路制造涉及数百道工序,其中主要是扩散、薄膜沉积、光刻、刻蚀、离子注入、抛光、及金属化等工艺,根据自身的需求进行必要工序的若干次循环。2018 年全球半导体设备市场规模约 645 亿美元,几乎被美日欧企业垄断,其中荷兰的ASML垄断高端光刻机,美国的 LAM、AMAT 和日本的 TEL 是三家最主要的刻蚀和薄膜沉积设备生产商。本土企业要长期的坚持和努力。

  ASML 专注于光刻机的研发和制造,旗下有 TWINSCAN 系列、YieldStar 系列、PAS550 系列新产品,其中 TWINSCAN 系列是当今精度和效率最高、应用最广的高端光刻机,ASML 凭借 EUV 技术占据了约 80%的市场占有率,将老对手 Nikon、Canon 远远甩在身后,不过 20 年前 Nikon 和 Canon 分别有 41.6%和 34.8%的市场占有率,ASML 仅占 22.4%。转折始于十几年前,业内进行了一次革命性技术的尝试,ASML 呼应 TSMC 的林本坚进行浸润式微影技术验证,冲破了重重阻碍,一跃成为行业的主流,而 Nikon、Canon 投入巨资研发的干式微影技术则失去了大部分市场。彼时对 Nikon、Canon 更为不利的是,英特尔和美国能源部汇聚全球研究资源,包括劳伦斯伯克利实验室、摩托罗拉、AMD 等企业成立 EUV 光刻研发技术联盟,ASML 有幸加入并分享了技术成果,Nikon、Canon 被拒之门外。

  日本教授中马宏之评价 ASML 的“成功之道”是通过高度外包的开放式创新,快速“集成”各领域最先进的技术(供应商除了提供零部件,还提供知识),设计和“组装”出最先进的机器系统,快速甩开对手,赢得市场。据说 ASML 的设备中 90%的零件是外包,除控制管理系统自做之外,其余的有来自德国的光学设备和超精密机械、美国的计量设备和光源设备等等,外包程度之高有点不可思议,但在现阶段恰好是其竞争力的源泉之一。光刻机需要最前沿的基础研究,是顶尖的精密机械之一,属于典型的烧钱、烧脑、烧时间型产品,作为后来者,要赶超 Nikon、Canon 等业内大佬,ASML 选择与外部资源合作的开放式路径,比单一的垂直整合模式效率高、风险小。其开放式创新体现在整个供应链,把供应商(包括大学、研究机构等)作为研发伙伴,让渡部分利润(合作方以折扣价获得产品)以换取对方的知识;重点项目投资则邀请客户参与,出让股权捆绑风险和收益,2012 年 Intel、TSMC 和 Samsung 等巨头出巨资入股,以获得最新设备的优先购买权并获得投资受益,而 ASML 则稳定了市场,降低了经营风险。

  除自带开放基因并广泛组建供应链联盟之外,ASML 还是个并购高手,1995 年上市募集资金后除增加研发投入外,也加速主业相关的并购。1999 年并购 MaskTools,改善扫描和成像能力;2001 年并购 Silicon Valley Group,增强投影掩罩瞄准等技术;2007 年收购睿初(Brion),增强光刻缺陷检验测试及修正能力;2013 年并购 Cymer,获得极紫外光源技术;2016 年收购台湾的电子束测量工具制造商汉微科(HMI);2017 年收购了卡尔蔡司的部分股权,巩固 EUV 系统技术;2019 年收购了 Mapper 的 IP 资产。如今,ASML 在强者愈强的道路上颇有几分孤独求败的味道,并购策略与联盟策略共同促进了研发成本和市场风险的降低,并加速了新技术的集成和应用。从成立初期积极寻求政府资金支持以及同科研单位合作,到上市后不断进行加强主业的并购,构建供应链与市场联盟则将自身、供应商与客户紧密捆绑在一起,坚持聚焦主业,坚持以客户和市场为导向,并注重基础研究、可行性研究和集成能力……ASML 过往的成功经验有值得借鉴的地方,但这或许并不适合所有的企业,即便是 ASML,也会不断面临新的挑战。

  据说几年前 Intel、IBM、三星等半导体巨头特意扶持,在硅谷成立了一家光刻机厂商 XTAL,以避免 ASML 一家独大,但 2018 年 ASML 起诉 XTAL 侵占知识产权,最终 ASML 胜诉并在 2019 年获得了 8.45 亿美金赔偿,XTAL 破产。未来的技术、市场和国际局势是否还会再起波澜,老对手是否还会卷土重来?看不见的硝烟或许正在弥漫。成立于 1917 年的 Nikon,2019 年半导体设备销售额达 12 亿美元。成立于 1937 年的 Canon,2019 年半导体设备销售额 6.9 亿美元。作为光刻机的前任霸主,两家公司的相机、镜头和光学技术根基深厚。

  值得一提的是,半导体设备领域的名企除了 ASML,还有 ASMI 和 ASMPT,光看名字就晕菜,这三位的渊源可深着呢。ASMI(ASM International,先域)于 1964 年成立于荷兰,业务包括光刻、沉积、离子注入和单晶圆外延,1971 年开始生产气相沉积炉,1999 年收购了芬兰公司 Microchemistry,2004 年收购了韩国公司 Genitech,加强了在原子层沉积(ALD)领域的地位, 推动了 ALD 和 PEALD(等离子增强原子层沉积)的规模化应用,2019 年销售额为 12.6 亿美元,业内排名第十。ASMPT(ASM Pacific Technology)成立于 1975 年,总部在新加坡,提供封装与测试设备及 SMT(Surface Mount Technology,表面贴装技术)方案,2019 年销售额为 8.9 亿美元,业内排第 14 位。ASMI 持有 ASMPT 股份。

  与鼎鼎大名的 ASML 相比,半导体设备界的头号霸主 AMAT(Applied Materials,应用材料)就显得“低调”多了,它长期保持着业界领导地位,提供半导体、显示器和太阳能等制造设备、软件和服务。2019 年 AMAT 销售额为 134.7 亿美元,紧随其后的 ASML 销售额为 127.7 亿美元。成立于 1967 年的 AMAT 是个全能型选手,在半导体领域发力早,经历了技术工艺的完整周期,经营事物的规模几乎覆盖了除光刻机外的所有关键设备,加之长期对研发和创新的投入,其 PVD、CVD、刻蚀设备、离子注入机、高温炉、CMP 设备等均在业界名列前茅,并在材料工程领域积累了技术底蕴,子公司 AKT 是等离子体化学气相沉积设备的领头羊。AMAT 在许多关键设备上突破了技术难题,在业内属于以创新驱动发展的企业。

  AMAT 也很注重围绕主业的并购,1996 并购 Opal Technologies 和 Orbot Instruments,进军 IC 监测与控制设备;1998 年并购 Consilium 获得 MES 系统;2000 年并购 Etec Systems 获得光罩生产和薄膜晶体管阵列测试;2001 年并购 Oramir Semiconductor 获得半导体镜片清洗技术;2008 年并购 Baccini 开拓意大利市场;2009 年并购 Semitool 获得晶圆级封装技术;2011 年并购 Varian Semiconductor 获得离子注入系统……除了为客户供应设备,AMAT 还很重视服务类收入,如提供集成解决方案、专业顾问以及工厂自动化软件等,从起始阶段的流程设计到过程中解决客户的高价值问题,能提供差异化的设备性能和量产解决方案。这在提升客户粘性和满意度的同时,也增强了行业低迷时的抗风险能力。

  AMAT 通过“研发技术+外延收购”、“销售设备+绑定服务”等多种组合来打造竞争力,并有完善的长期资金市场融资机制保证流动性充裕,此外还积极与大学、科研院所等机构合作进行研发技术和人才教育培训。作为第一家进入内地的外资半导体设备与材料工程解决方案的领先企业,从 1984 年开始,先后在北京、上海、天津、苏州、无锡和西安等地设立业务机构,内地已成为 AMAT 第三大营收来源。AMAT 还多次获评优良雇主和商业道德企业。

  除了 AMAT,业内美系名企还有 LAM、KLA-Tencor 和 Teradyne 等。成立于 1980 年的 LAM(Lam Research,泛林、拉姆或科林)以刻蚀机、薄膜沉积和清洗等设备在业内闻名,1990 年进入内地市场,2019 年 LAM 的销售额与排名第三的东京电子很接近。LAM 是硅技术路线图的根本推动者,专注于蚀刻、沉积和清洁市场,受益近年 3D NAND 持续不断的增加的层数、及晶圆代工厂向 7 纳米工艺的过渡,LAM 在蚀刻和沉积领域的市场占有率一直增长。KLA-Tencor(科天、科磊)于 1997 年由 KLA 和 Tencor 合并而来,最初从掩膜检验测试业务起家,以综合性缺陷检验测试和计量产品及解决方案,为纳米电子产业提供工艺控制与良率管理服务,先后收购 10 余家半导体检测设备公司,完成对半导体前道检验测试业务的全面布局,在晶圆加工前道检测和测量市场中居行业第一。2019 年销售额为 46.6 亿美元,排名第五,研发支出占营收约 15%~20%。成立于 1960 年的 Teradyne(泰瑞达)将制造业中重复的手动任务和电子测试自动化,是仅次于日本爱德万的半导体后道测试设备企业,业务覆盖模拟、混合信号、存储器及 VLSI 器件测试、系统测试、无线测试以及工业自动化等,测试台市占率全球居首,2019 年销售额为 15.5 亿美元,排名第八。

  日本也是半导体制造设备业的顶尖角色,总实力可与美国一较高下,名企有东电、爱德万、斯科、日立高科、国际电气、大福以及前面提到的尼康和佳能,等等。成立于 1963 年的 TEL(东京电子,Tokyo Electron Limited)由代理起家,之后转行半导体制造设备,伴随日本半导体行业崛起成为业内顶尖企业之一,产品涉及半导体制造所需的大部分设备,如涂胶显影、热处理成膜、干法刻蚀、CVD、清洗及封测等设备,2019 年销售额为 95.5 亿美元,排名第三。成立于 1954 年的 Advantest(爱德万)是半导体后道测试设备龙头,产品覆盖存储器、SoC 芯片、MCU 以及传感器 IC 等的自动化测试设备、机电一体化检测系统等,研发支出占营收比约 15%~20%,2003 年率先推出开放式架构检测系统,2011 年收购惠睿捷补充了中高端 SoC 检测系统。2019 年销售额为 24.7 亿美元,排名第六。

  在美日欧之外,韩企和中国台湾企业在半导体设备领域也有一定积累,成立于 1993 年的韩国三星的子公司 SEMES,主要生产清洗、光刻和封装设备,预计将会扩展半导体与显示面板制造设备业务。成立于 1998 年的台企汉微科(HMI,Hermes Microvision,Inc)凭借专业眼光和多年坚持,成为电子束晶圆检测技术的领导者,其后发先至颇具示范性。

  晶圆加工位于半导体设计和封装测试的中间地带,有很高的资金、技术和人才壁垒,是整个产业链的关键一环,而半导体设备的供应又是晶圆加工厂的关键,环环相扣,缺一不可。晶圆加工工序动辄要数百个,晶圆厂为使良率达标已耗费大量的精力和资源,很难再有余力去做设备和材料的开发,通常会向合作方让渡更多利润以获得更好的技术上的支持,设备厂就几乎成了晶圆厂外置的研发中心。同时,设备定制化和系统性服务也带来极高的客户粘性和转换成本。近年来,内地连续成为全世界第二大半导体设备市场,但关键设备和先进的技术存在瓶颈,使得产业的重要环节受制于人,困境亟待解决。

  晶圆加工设施占整个半导体设备 80%左右的比例,测试设备约占 9%,封装设备约占 6%,硅片等生产设备约占 5%。内地晶圆加工设施代表性企业有北方华创、中微半导体、晶盛机电、电科装备、上海微电子、京运通、盛美半导体、芯源微、中科信、沈阳拓荆、中电科 48 所、上海凯世通、华海清科、中电科 45 所、南京晶能、烁科及众硅等,本土配套能力一步步增强,刻蚀机等部分设备进口替代率提升。北方华创的产品有刻蚀机、PVD 设备、CVD 设备、氧化扩散设备、清洗机等,服务于半导体、新能源、新材料等市场,2018 年收购 Akrion,12 寸单片清洗机产品线得到逐步加强。中微半导体的介质刻蚀机已确定进入到 TSMC 供应体系,MOCVD 设备市场领先。沈阳拓荆有等离子化学气相沉积 PECVD 等镀膜设备。中电科电子装备的产品涉及 CMP、离子注入机、光刻机、及电化学沉积设备(ECD)等,服务于材料加工、芯片制造及封测等多个领域。CMP 设备厂商还有华海清科、中电科 45 所等。

  晶盛机电的产品有全自动单晶炉、多晶铸锭炉、区熔硅单晶炉、蓝宝石炉等,硅片环节切磨抛整线能力具备,服务于半导体、光伏和 LED 照明等领域。京运通的产品有单晶硅生长炉、多晶硅铸锭炉、区熔炉等光伏及半导体设备,以及硅锭、硅棒和硅片等半导体材料。上海微电子的产品有光刻机等设备。盛美半导体(上海)侧重于湿法加工技术和清洁技术。芯源微产品有光刻工艺中的涂胶显影设备(涂胶 / 显影机、喷胶机)和单片式湿法设备(清洗机、去胶机、湿法刻蚀机)。内地清理洗涤设施厂商还有至纯科技等,高端清洗机市场仍以日本的 DNS、TEL 和美国的 LAM 为主。内地离子注入机厂商有中科信、中电科 48 所、上海凯世通(2018 年并入万业企业)等。

  在封装设备领域,日本 Disco 垄断了全球 80%以上的减薄机和划片机的市场,我国类似的传统封装设备国产化率约 10%左右,不过先进封装用光刻机、刻蚀机、植球机等设备的国产化率较高。半导体封装环节的主要设备引线键合机的主要供应商为 ASMP(ASM Pacific Technology)、美国奥泰、德国 TPT、奥地利 FK 等国外企业,其中 ASMP 的后道工序业务市占率第一,占全球总量的 25%。

  检测贯穿半导体产业全过程,从最初的设计到最终的产品都有极其严格的规范,检测设备是 IC 良率控制的关键。半导体检测设备分前道量测设备和后道测试设备。前道量测设备大多数都用在晶圆加工环节,检查加工参数和缺陷值是否合乎要求,属于物理性的检测;后道测试设备主要是用在晶圆加工之后、封装测试环节内,后道因检测对象不同又分为 CP(晶圆测试,Circuit Probing)和 FT(功能测试,Functional Test),后道检查芯片的电性能是不是满足要求。物理检测设备大多数都用在硅片测试和晶圆工艺流程的工艺监控,硅片测试设备最重要的包含厚度仪、颗粒检测仪、硅片分选仪等,晶圆加工工艺监控设备有各类显微镜、热波系统、探针卡、探针台和测试机等。终测是对封装后的芯片的功能和电性能的测试,设备有测试机和分选机等。

  在检测设备领域,内地代表性企业有精测电子、长川科技、华峰测控、上海睿励、佛山联动和北京冠中等,精测电子同时布局前道量测设备和后道测试设备。长川科技的基本的产品包括测试机、分选机、探针台、自动化生产线等,收购新加坡 STI 也进一步加强了封测业务。精测电子主营产品有 AOI 光学检测系统、模组检测系统、面板检测系统、及 OLED 检测系统等。上海睿励的基本的产品有电子光学检验测试仪器、硅片测量设备及缺陷检验测试设备等。华峰测控的基本的产品是模拟及混合信号类集成电路自动化检测系统等。内地厂商实现了部分的进口替代,但探针台和高端测试机依旧由海外龙头垄断,泰瑞达、爱德万、Cohu 约占测试机 90%的份额;东京精密和东京电子约占探针台 80%的份额。

  从设计到硅片和晶圆的生产加工再到测试,中国的半导体产业链正在完善,关键设备的本土化是大势所趋。大体来看,当前半导体设备的国产率低且存在很大差异:氧化设备、清理洗涤设施约在 50%以上;CMP、刻蚀和退火设备约在 15%-30%左右;薄膜沉积、测试设备约为 2%-3%;探针台和光刻机则完全依赖进口……与国际领先水平相比,国产半导体设备的技术水平差距很大,要突破技术封锁,确保供应链安全任重道远。内地在电子信息产业升级和遭遇技术封锁的形势下,保证供应链的基本自给和竞争能力显得很迫切。培育有真技术的本土化设备和材料厂商成为业内共识。

  缺少上游的设备和材料支撑的内地 IC 制造产业,某一些程度上是在给欧美日发达国家干苦力,而且还要仰人鼻息,动辄被断供和封锁。半导体设备和材料技术的发展迫在眉睫,但心急吃不了热豆腐。最根本的在于打造半导体上下游的产业生态,包括技术、人才、资金和市场等等。对于精细复杂的系统工程来说,急于求成反而会适得其反。有业内人士指出,内地半导体产业不怕慢,就怕因操之过急而舍本逐末,就怕同样的错误反复犯,原地打转转。一旦自乱阵脚,一旦体系和方寸乱了,就会陷入补了东墙补西墙、疲于奔命的尴尬境地,一旦陷入一窝蜂、不可持续发展的泥潭,就无法突出重围。业内最需要的是,沉下心来让量的扩张建立在技术能力提升的基础上,这样才可以体现出其价值所在。如果能做到资本、技术、人才和市场共同地、长期持续地发生作用,技术就必然会有突破。

  在 5G、6G 时代、及数字化的经济时代,有产业政策的支持,有工程师红利,加上技术封锁使内地的产业形成空前的凝聚力,市场也会给予内地设备厂商更多的机会,这些都是内地半导体设备产业高质量发展的有利条件。

  据赛迪智库集成电路研究所统计,至 2018 年年底,台积电南京厂投产后,内地已量产的 12 英寸集成电路生产线 年,长江存储、无锡华虹、中芯国际、台积电等产线按期投产或扩产将逐步提升产能,根据已知材料分析,未投产的新增产能将达到 86.5 万片 / 月。SEMI 预计中国半导体制造设备的支出将从 2019 年的 129 亿美元增加到 2020 年的 149 亿美元。国内晶圆厂、上游硅片厂的扩产、以及存储器的国产化,均带来庞大的设备需求,并且存储器厂并不是特别需要最先进的制程,且新建厂商还未形成客户粘性,加之产业政策与资金持续加码,大基金二期设备和材料也是重点投资方向之一。

  显然,投资浪潮为上游设备厂商提供了巨大市场机会,但产线密集投产后,内地企业也将在产品、技术、人才和供应链等方面与全球领先的公司展开更为激烈的竞争,加上疫情和国际环境的双重冲击,内地晶圆厂也伴随诸多新的考验。产业所需的健康生态问题、可持续发展问题始终是排在首位的重中之重。大致还可以细化为以下几点:

  1、半导体设备供应链品类和环节众多,缺一不可,任何一块短板都可能会成为发展的障碍,供应链的协同能力,技术的均衡发展,就显得特别重要。

  2、本土设备厂商要获得主流的产品认证,主流的客户认证,核心竞争力要具备可持续扩张性,只有这样,市占率才能得到巩固,才有逐步提升的可能性。

  3、对单一企业来说,先专注某一领域做强做大,再并购整合其它业务,是国际巨头共同的成长和发展路径。

  4、长期持续的高额研发投入、保持创造新兴事物的能力、择机外延并购,以及在全世界内整合优质资源,是国际主流半导体设备厂商保持竞争力的主要手段。

  5、人才、技术、设备、工艺、资金、和市场需求等因素均是供应链制衡的条件,整个产业在地球村形成一个凶险的生态链丛林,而决非温情脉脉的乌托邦。

  6、技术的发展决非一朝一夕之功,产业链的发展,工艺的进步需要的是下苦工夫,坚持人才教育培训与引进,坚持研发积累,既不要盲从,也不要痴迷于所有轮子都自己造。

  7、当前供应链遇到严峻挑战,但也要避免大而全、小而全的倾向,晶圆代工作为高技术、重资产、高风险的行业,需要理性、长远、严谨的发展对策。

  ASML 的“三兄弟”往事,半导体行业观察,2020 年 06 月 06 日

  光刻巨头 ASML 是怎么炼成的?独立自主? 非也!张竞扬 摩尔精英,anybody881,2018 年 4 月 21 日

  晶圆代工争霸战四部曲!超详细的各晶圆厂前世今生,满天芯搜狐号,lynn1205 的科技博客,2017-10-31

  2020 年全球及中国半导体设备行业市场规模、投资规模及行业发展机遇分析[图],智研咨询,中国产业信息网,2020 年 04 月 20 日

  全球和国内半导体设备厂最新排名!来源:ittbank,2020-04-06

  世界顶级半导体制造设备企业 AMAT 全解析,李倩,华秋电子说,2018-04-04,

  全球和国内半导体设备厂最新排名! ittbank,物联网智库搜狐号,2020-04-06

  史晨星 -- 半导体全面分析(六):千亿市场、三大设备、四大巨头!360 个人图书馆,2020-2-1

  2009年全球半导体制造装置的供货额连续2年大幅度减少。SEMI(国际半导体制造装置材料协会)2010年3月宣布,09年全球半导体制造装置供货额比上年减少46.1%,为159亿2000万美元。跌幅超过了08年比上年减少的31.0%。受全球经济衰退的影响,09年全球半导体市场供货额比上年减少9.0%,但半导体制造装置市场较其下滑了37.1个百分点。所以供货额还不到顶峰时期07年的4成。 按照开展业务的地区划分,09年日本市场比上年减少68.3%,为22亿3000万美元。日本市场08年为全球最大市场,但09年却不抵韩国,市场规模排名第四。供货额最大的是台湾市场,比上年减少13.2%,为43亿5000万美元。占全球整体的27.3

  如果不是中兴和华为相继被美国禁令制裁,相信大部分人并不会关心中国半导体产业的状况,而光刻机更成为了热搜名词。 提起光刻机,那必然要说到ASML(阿斯麦),实际很多人把两者用等号连接起来。在目前人类制造产业的顶级水平,光刻机属于代表,而ASML占据了全球接近80%的光刻机市场占有率,它更是世界上唯一能提供7nm工艺以下水平光刻机的公司。 光刻机是所有半导体设备中复杂度最高、精度最高、单台价格最高的设备,也是现代工业的集大成者。可以说,年产值几百亿美元的半导体设备支撑了年产值几千亿美元的半导体制造产业,而ASML几乎主导了整个高端光刻机设备市场。 有人开玩笑地说,即使ASML公开了图纸,你们也不可能制造出来,可见光刻机的制造难度之大

  能解决吗? /

  近日,日本海关发布了8月份该国进出口贸易的最新数据。多个方面数据显示,8月份出口到中国大陆的总额为14210万亿日元,从中国大陆进口为16293万亿日元,贸易逆差为2082.4亿日元。 其中,出口到中国大陆的半导体设备为6301台,同比下降25.5%,价值为952亿日元,同比下降10.1%,占出口到中国大陆所有商品价值的6.7%。 出口到中国大陆的集成电路器件的数量为22.4亿个,同比增长36.4%,价值为738.6亿日元,占出口到中国大陆所有商品价值的5.2%。

  6301台,同比降25.5% /

  集微网综合报道,据路透社4月24日晚间消息,日本尼康 (Nikon) 宣布在荷兰、德国与日本针对 ASML(艾司摩尔) 和合作伙伴卡尔蔡司 (Carl Zeiss AG)的专利侵权提起诉讼,并表示 ASML 及 Carl Zeiss 两家公司在未经 Nikon 的许可下将其微影 (lithography) 技术专利用于光刻机上,并运用在半导体制造业中。尼康在诉讼文件中称,要求 ASML 和 Carl Zeiss AG 对未授权使用专利技术而做出赔偿。 尼康曾在半导体设备产业叱咤一时,是全球第八大半导体设备厂商。据尼康公布的2017财年第三季度财务报表(2016年4月1日~12月31日)显示,盈利下降了41%,尼康净利润亏损0.

  去年,一台价值1.06亿元设备经空运从荷兰飞抵厦门,由于该设备价值高,而且对保存和运输有着非常高的要求——保存温度一定要保持在23摄氏度恒温状态下,为了尽最大可能避免影响设备的精度,在运输中也对稳定性有极高的要求。因此,机场海关以机坪查验的方式对该货物实行全程机边监管,待货物装入特制温控气垫车后移至海关的机坪视频监控探头之下,在完成紧急查验后当晚就放行。 没错,这台设备就是荷兰ASML的光刻机。铁流猜测,这台设备有很大的可能性是厦门当地政府与台湾联华电子合资的晶圆厂从荷兰ASML采购的。不过,由于西方瓦森纳协议的限制,中国只能买到ASML的中低产品,这一点从厦门当地企业进口的光刻机报价仅1亿人民币就可以看出来了。 目前,光刻机领域的龙头老大

  研发 /

  在VLSI 7月初发布的2010年Q1半导体设备公司销售统计中,中小公司的业绩增幅达到了82%。由于SOC测试的增长,Teradyne以46%的季度增幅列前 10名设备公司增幅榜首。稳居业界老大宝座的Applied Materials增幅与整个业界持平,为43%。半导体设备Q1的总销售额达到了104亿美元,面对市场的普遍反弹,业界一片欢呼。相信下周 SEMICON West的party也将成为普天同庆的盛会。 2009年的Q1,在全球金融危机的大环境下,半导体产业经历了史无前例的downturn。时隔一年,设备行业较上年Q1的增长达到了 188%。 VLSI预计2010年全年设备行业总销售额将达到476亿美元,较2009

  国际半导体设备材料协会(SEMI)最新公布,2013年全球半导体生产设备销售额年减14%至315.8亿美元,低于2012年的369.3亿美元。其中除了中国大陆和台湾之外,所有地区的支出速度皆下跌。在排名方面,台湾半导体设备销售支出总额连续两年居冠,北美市场超越南韩抢下第二,南韩落居第三。 SEMI公布的全球半导体设备市场统计报告(Worldwide Semiconductor Equipment Market Statistics,SEMS)显示,除了中国大陆和台湾之外,所有追踪地区的支出速度皆下跌。台湾半导体设备销售额达105.7亿美元,支出总额连续两年居冠。北美市场超越南韩抢下第二、销售额达52.6亿美元;南韩落居第

  去年销售 台再夺冠 /

  全球半导体设备供应商ASML 发布2018 年第二季度业绩报告,营业收入27.4 亿欧元,同比上升19.9%,毛利率43.3%,同比上升0.4 个百分点,净收益为5.84 亿欧元,同比上升4.8%,环比上升8.2%。当季营收中来自中国大陆地区的占比达到了19%,与美国基本持平,低于韩国的35%,但是高于台湾地区的18%。公司EUV 光刻机的出货量在第二季度达到了4 台,高于之前预期1台,全年的预计将会达到20 台。 点评: 产业政策驱动支持,中国大陆成为投资热点:ASML 作为全球领先的光刻机工艺的设备供应商,其商品市场的去向在某些特定的程度上代表了产业布局的方向,从公司2018 年的上半年的出售的收益的市场分布看,中国大陆地区的总金

  直播回放: Rochester 罗彻斯特电子为您细说 - 半导体停产后的挑战与解决方案

  MPS电机研究院 让电机更听话的秘密! 第一站:电机应用知识大考!跟帖赢好礼~

  电源小课堂 从12V电池及供电网络优化的角度分析电动汽车E/E架构的趋势

  2月5日消息,AMD线程撕裂者竖起了工作站、发烧桌面处理器的天花板,Intel至强虽然无力抗衡,但也不能放弃,只是实力所限,提升有点慢,下一 ...

  DevEco Studio 4.1带来多种调试能力,助力鸿蒙原生应用开发高效调试

  目前,HarmonyOS NEXT星河预览版已经正式面向开发者开放申请,面向鸿蒙原生应用及元服务开发者提供的集成开发环境——DevEco Studio也迎 ...

  英特尔 Thread Director 技术助力,Linux 用户运行 Windows 虚拟机性能提升 14%

  2 月 5 日消息,去年 10 月,微软发布了一份指南,鼓励 Windows 用户通过 WSL 尝试 Linux,这多少让人有些意外。而如果你是一位 ...

  不支持超线,新款英特尔 Arrow Lake-S 芯片样品现身测试数据库

  2 月 3 日消息,消息人士 InstLatX64 近日在 X 平台分享了一条来自英特尔测试机数据库的信息,称发现了一款不支持超线 ...

  智能家居是在物联网的影响之下物联化体现。智能家居通过物联网技术将家中的各种设备(如音视频设备、照明系统、窗帘控制、空调控制、安防系 ...

  嵌入式处理器嵌入式操作系统开发相关FPGA/DSP总线与接口数据处理消费电子工业电子汽车电子其他技术存储技术综合资讯论坛电子百科